Remove unused ROMLIB memory region macro

Remove ARM_MAP_BL_ROMLIB memory region macro as it is now split
into two regions for code and data

Change-Id: Ic17b5b584933c196db29fe83051d7e0a8e92911c
Signed-off-by: Daniel Boulby <daniel.boulby@arm.com>
This commit is contained in:
Daniel Boulby 2018-09-19 14:20:46 +01:00 committed by Antonio Nino Diaz
parent 79621f0038
commit 8d30b4987b
1 changed files with 0 additions and 6 deletions

View File

@ -253,12 +253,6 @@ void bl31_plat_runtime_setup(void)
******************************************************************************/
void arm_bl31_plat_arch_setup(void)
{
#define ARM_MAP_BL_ROMLIB MAP_REGION_FLAT( \
BL31_BASE, \
BL31_END - BL31_BASE, \
MT_MEMORY | MT_RW | MT_SECURE)
const mmap_region_t bl_regions[] = {
MAP_BL31_TOTAL,
ARM_MAP_BL_RO,